Basys 3 General I / O Demo

概述

使用的功能

未使用 用过的
16个用户交换机 X
16个用户LED X
5用户按钮 X
4位7段显示 X
4 PMOD连接器 X
XADC模拟输入 X
12位VGA输出 X
USB-UART Bridge X
用于应用程序数据的串行闪存 X
USB HID主机与鼠标 X
USB HID主机带键盘 X

描述

Basys3的介绍性级演示项目。


先决条件

技能

  • 与Vivado的基本熟悉
    • 通过我们的行走可以找到这种经验vivado入门指导

硬件

  • Basys3 FPGA板
  • 微型USB电缆
  • 用VGA端口监视
  • VGA电缆
  • USB鼠标

软件

  • Vivado设计套房2016.4

下载

Basys 3.GPIO.项目存储库 -zip存档git repo.


下载并启动巴斯迪3 GPIO演示

1)跟着使用DigIlent GitHub演示项目教程。这是一个HDL设计项目,因此不支持Vivado SDK,选择适合Vivado设计的教程选项。当提示检查额外的硬件要求和设置时返回本指南。
2)为了充分利用演示,您需要将串行终端连接到Basys 3.使用Micro USB电缆将电脑插入计算机,并确保板打开。然后在计算机上打开串行终端(如TERATERM)。在终端应用程序中,设置串行端口以连接到电路板的相应端口,具有9600的波特率。然后返回Github项目教程,完成编程并运行演示。

3)使用演示的VGA监视器和USB鼠标特征,您需要连接到您的电路板。在启动演示之前,不需要完成这一点,下一节将提供更多详细信息。

使用Basys 3 GPIO演示

1.开关和LED

所有十六个用户交换机都与其相对相同引领。每次切换切换时,每次切换引领直接上面它会用它切换。

2.按钮和7段延迟

当按下任何按钮时,七个段显示器按0到9的所有数字计数。如果按下BTNU,则关闭七个段显示屏上的第一个数字。BTNL关闭第二个数字,BTNR关闭第三个数字,BTND关闭第四个。BTNC关闭整个显示器并重置计数器。

3. VGA Monitor.

要使用VGA输出演示,请将监视器插入BasyS3 VGA端口。监视器屏幕将具有一系列移动模式,如下所示。

4. USB鼠标

要查看演示的USB鼠标部分,请将USB鼠标插入BASYS3上的J2 USB端口,仍然连接。在屏幕上,您应该能够看到鼠标指针并移动它。

5. UART交流

要查看UART通信通道,请在计算机上打开终端程序设置为9600波特,8个数据位,无奇偶校验位和1个停止位。在重置或BTNC时,Basys3将打印Basys3GPIO./ UART演示!到终端。在BTNC以外的按钮上,终端将打印按钮按检测到的按钮!