开始与贝斯3(遗产)

警告!

这个教程已经过时了。指的是Basys 3 Abacus演示对于最近的等效项目。


推动它

要给Basys3上电,你需要一根微型usb线缆。在开始之前,确保JP1上的跳线在QSPI位置。将此电缆插入Basys3的JTAG插槽,将另一端插入您的计算机,然后将电源开关拨至的位置。这将开始Basys3的开箱demo。

关于这个演示的更多信息可以在这里找到在这里


开始使用Vivado

本视频将逐步指导你开始Basys3。

本演示所需的文件可以通过单击下载在这里.您还需要可以下载的约束文件在这里

有关Abacus项目的更多信息可以在此找到在这里


1.下载并安装Vivado

1.1)首先,按照我们的指南安装最新版本的Vivado在这里

2.创建一个项目

2.1)现在我们已经安装了Vivado,我们将创建一个项目。

这将打开Vivado的新建项目向导。点击下一个你会看到这个屏幕。

2.3)命名您的项目(没有空格!),并选择您的项目保存目录,然后点击下一个.现在您将看到这个屏幕。

2.4)选择“RTL项目”,单击下一个.在此窗口中,您可以选择希望在项目中使用的任何其他源文件或目录。我们还可以选择使用哪种语言进行编程。

2.5)我们将导入预构建的Verilog文件,所以点击添加文件,导航到您以前保存项目文件的位置,并全部选择它们。应该注意的是,如果你检查将源代码复制到项目中, Vivado将创建这些源代码的单独副本,并将它们放在项目目录中。点击下一个.这个窗口可以让你选择现有的ip(知识产权)核心,如果你有他们。

2.6)点击下一个你会看到这个窗口。

2.7)这是我们将导入Xilinx设计约束文件(XDC)的地方,以映射HDL信号到Artix-7引脚。点击添加文件,导航到你保存Basys3_Master的地方。XDC文件,选择它,然后单击下一个

2.8)此时你会看到零件选择屏幕。

2.9)你会在你的电路板上的Artix-7芯片上找到你需要的所有信息。

2.10)为了找到我们的董事会,设置以下过滤器
家庭:Artix-7
- Sub-Family: Artix-7
-包装:cpg236
—速率等级:-1
然后选择上面标注的部分xc7a35tcpg236-1并点击下一个然后完成

这将创建您的项目,并将您带到Vivado项目主页。

3.使用Vivado

3.1)在主页上,你会看到你之前导入的文件来源盒子。

3.2)双击文件会在右侧窗口中打开该文件。Basys3_Abacus_Top是我们将要运行的abacus演示的顶层模块。点击[+]按钮将显示其中使用的较低级模块。

3.3)在运行程序之前,我们必须首先使用Basys3_Master将信号映射到引脚上。我们导入的XDC文件。为此,我们将全力以赴开放Basys3_Master.xdc。在这个文件中,我们将看到Vivado如何将信号映射到引脚。此时,每一行都应该被注释掉(使用#字符),因此它看起来应该像这样。

3.4)首先,我们要确保我们的信号名称与.xdc文件中的匹配。这可以通过比较XDC文件中的信号名称与顶层模块中的信号名称来确定。这些是区分大小写的!

在XDC文件中显示信号:



在top模块文件中显示相同的信号:

3.5)一旦这些被确认,我们将取消。xdc文件中使用的任何约束的注释。

可以通过选择我们正在使用的信号行并取消注释(Ctrl+/)来取消这些约束的注释。在本例中,我们使用clk、btnC、btnU、btnD、btnR、btnL、sw[0]到sw[15]、led[0]到led[15]、seg[0]到seg[6]、[0]到[3]和dp。查看xdc文件取消注释与这些信号相对应的线。


在取消xdc文件的注释后,保存它,我们就可以开始编写Basys3了。

4.编程的Basys3

有两种方法来编程你的Basys3 FPGA:使用。bit文件和使用。bin文件。使用.bit文件,我们使用JTAG编程电缆将位文件加载到FPGA中。使用.bin文件编程将使用QSPI来编程FPGA每次上电时。这意味着您不必每次都使用JTAG连接器重新编程。我们将通过单击指定我们想要生成一个.bin文件工具→项目设置→比特流.在这个窗口中,我们将勾选旁边的框bin_file

4.2)开始时,我们将通过点击来运行合成运行合成在Vivado左边的流导航仪的合成下面。

当Vivado完成合成你的项目时,你会看到合成完成窗口。

4.4)点击开放合成设计然后按好吧.您现在应该看到这个窗口

5.1)为了提高编程速度,在主工具栏中选择工具→编辑设备属性…在一般情况下,组启用Bitsream压缩“真正的”。

5.2)在“配置”下,设置配置率(Mhz)“33”。

5.3)在“配置模式”下,选择主SPI x4

5.4)新闻好吧保存你的综合设计(Ctrl+S),然后点击产生的比特流在左侧的“流量导航仪”中。

5.5)这将打开一个框,说明你还没有实现你的设计。

5.6)点击好吧Vivado会生成你的。bit文件,并显示这个框。

5.7)点击开放硬件管理器并点击好吧.你会看到这个屏幕。

5.8)在这一点上,确保你的Basys 3通过USB连接并打开。现在点击下一个两次,您将看到这个屏幕。

5.9)设置JTAG时钟频率到“30000000”,选中设备,点击下一个紧随其后的是完成

6.使用.bit文件编写Basys3

6.1)首先确定跳线JP1处于JTAG位置。你应该会看到这样的结果:

6.2)点击项目设备(在绿色条中)然后是xc7a35t_0,在bitstream文件框中选择您的.bit文件,然后单击程序

6.3)这将通过JTAG连接器编程你的Basys3。

7.使用.bin文件编写Basys3

7.1)首先,确认JP1上的跳线在QSPI位置。在“硬件管理器”窗口中,在“硬件”下右键单击您的设备,然后单击添加配置内存设备…

7.2)弹出此窗口。搜索“Spansion”并选择32位设备(下面突出显示)。点击好吧在下一个窗口中,询问是否要对配置内存设备编程。

选择需要配置文件的.bin文件,最后单击好吧

Vivado现在将删除旧的配置文件,并使用Abacus演示文件重新编程Basys3。从现在开始,当您启动Basys3时,abacus演示将在启动时加载。有关操作abacus演示的信息,请执行在这里