Genesys米格的教程

创建此页面是为了帮助逐步通过Genesys MIG向导,并获得一个示例项目的运行。

你需要的东西…

ISE 14.7安装在您的计算机上

——Digilent的Genesys董事会

—Genesys电源适配器

—USB转微型USB线

-来自Digilent的Adept 2.0(或ISE 14.7中包含的IMPACT)

第一步:创建一个新项目

打开ISE 14.7并单击新项目。您不需要添加任何文件,设备是XC5VLX50T,包是FF1136。这些设置如下所示。

第二步:MIG向导

点击new source→IP→MIG。这将打开MIG(内存接口生成器)向导。确认显示了正确的fpga,然后单击下一步。

在这个页面上,你要选择创建设计。默认情况下,这是选中的,单击下一步。

销兼容fpga

这个页面是为了创建一个MIG,它也将与不同的fpga兼容。由于这只是一个示例设计,我们可以将所有这些选项留空,然后单击“下一步”。

记忆的选择

Genesys有一个DDR2 SDRAM。在下拉菜单中选择此选项。

控制器的选择

我们正在使用的DDR2芯片是Micron MT4HTF3264HY-667D3。“内存类型”选择“sodimm”,“内存部件”选择“MT4HTF3264HY-667”。数据宽度应该是64。在单击下一步之前,页面应该是这样的。

内存选项

在本页上,RTT(标称)- ODT应改为50欧姆(11)。其余的选项应该是默认的,但应该如下图所示。

FPGA的选项

唯一要确保的是选择一个单端时钟。

扩展FPGA选项

正确的选项将是默认值。仔细检查下面的图片,然后点击下一步。

储备针

没有引脚需要保留和固定引脚出气泡需要选择前进。用图片验证,然后单击下一步。

销的选择

为了更简单,我将在此页面中包含一个UCF。UCF应该放在project_name/ipcore_dir目录下

这是UCF文件

当.ucf位于正确的位置后,单击ReadUCF。这将填充网格。现在我们可以进行下一步了。

*注意:有些引脚可能不是主UCF或原理图所声明的引脚。特别是ddr2_we#是不正确的。我们将在后面的步骤中处理这个问题。

概要,内存模型,PCB信息,和设计规则

这些页面中有一些重要的信息,但对于这个例子,我们可以跳到最后。接受许可协议,然后单击generate。我们的米格战机现在可以起飞了!

第三步:编辑。prj文件

在这一步中,我们将纠正ddr_we#的位置。我们要做的第一件事就是打开米格。prj位于project_name/ipcore_dir/MIG_name/user_design

在这个文件中,将ddr2_we#的引脚位置更改为K29。这是我们引脚的正确引脚。保存文件。

对米格战机做同样的事情。prj位于project_name/ipcore_dir/MIG_name/example_design

下面是编辑过的user_design mig。撮合下文件

mig.zip

步骤四:生成示例项目

打开设计套件64位命令提示符,包含在ISE 14.7 webpack中。

打开后,将目录更改为project_name\ipcore_dir\MIG_name\example_design\par

运行create_ise.bat

这完成后,打开测试。ise位于project_name\ipcore_dir\MIG_name\example_design\par with ise 14.7。

第五步:锁相环到DCM

米格现在需要一个266.667 Mhz clk,一个133.333 Mhz clk,一个266.667兆赫CLK相位移了90度,和200度兆赫clk。为了给米格提供我们需要的时钟,锁相环到DCM是需要的。打开IP核心目录并选择PLL到DCM。在设置中,确保设备是正确的,然后单击确定。

下一个屏幕在下拉菜单中选择CLKOUTDCM1,然后单击next。

在这个屏幕上,CLKIN1应该是200兆赫微分来源。倍数应该设置为4,除法为1。确保选项与下图匹配。200 *(4/3) = 266.667。在下一个窗口中,我们将除以3。单击next。

在这个屏幕上,将除法值设置为3,并验证输出时钟为266.667兆赫然后单击next。

在下一个屏幕上启用CLK90、CLKDV和CLKFX引脚。式266.667兆赫输入时钟频率。除以值应该是2。与下面的图片比较,然后点击下一步

在下一个屏幕中,我们希望使用所有全局缓冲区。单击next。

在下一个屏幕上选择使用M和D值。将它们分别设置为3和4以重新生成200兆赫clk。与图片比较,然后点击下一步。

然后单击finish完成向导。

CLK0_OUT→clk0

CLKDV_OUT→clkdiv0

CLKFX_OUT→clk200

CLK90_OUT→clk90

示例项目

使用这些向导和示例项目,下面是Genesys董事会上的工作项目。LED1被绑定到错误,不应该被照亮。领导0应该照亮。位文件将在Genesys_MIG\ipcore_dir\mem\example_design\par下找到。Digilent的Adept软件可以用来编程Genesys。

genesys_mig.zip