将Digilent FPGA板添加到Multisim

本页面正在建设中。

本指南将提供如何将Digilent FPGA板添加到MultiSim的步骤教程。要通过Multisim对FPGA Board进行编程,请按照本指南:<一种href="//www.knowreader.com/reference/learn/programmable-logic/tutorials/program_fpgas_through_multisim/start" class="wikilink1" title="通过Multisim编程Digilent FPGA板">通过Multisim编程Digilent FPGA板


先决条件

创建和编辑配置文件

下载Constraints文件

创建配置文件

在文本编辑器中打开下载的Constraints文件,然后创建一个空文件,具有相同的名称,但是* .mspc.延期。此文件将包含MultiSim PLD设计中的可用引脚。

首先,创建文件的标题和“正文”。语言类似于<一种bbr title="HyperText Markup Language">超文本标记语言,很容易读取。对于与您的电路板兼容的文件,您必须更改姓名零件号BoardName家庭设备包裹UCF.字段。您可以保持其他字段不变。

版本=“1.0”><组件姓名=“Digilent Arty S7-50”零件号=“XC7S50”版本=“1.0”OpvoltageInput.=“3.3”OpvoltageOutput.=“3.3”OpvoltageBidirectional.=“3.3”BARLAIL.=>=“Digilent Arty S7-50”>< XilinxDevice制造商=“Xilinx”家庭=“斯巴达 -  7”设备=“XC7S50”包裹=“CSGA324”速度=“1”DeviceOffset.=“1”的DeviceId=UCF.=“arty-s7-50-master.xdc”/></DeviceList><编程预示><接口Cabletarget.=“digilent_plugin”/></编程备用><针锁=“1”></别针></组件></PLDConfiguration >

姓名BoardName字段包含板的名称。这就是董事会将出现在Multisim中的方式。

零件号设备字段包含FPGA芯片的名称。这通常可以在电路板的参考手册中找到,或者您可以通过插入电路板并启动它来找到它<一种href="https://mautic.digilentinc.com/adept-system-download" class="urlextern" title="熟练的" rel="nofollow">熟练的

家庭FPGA芯片出现在板的参考手册中。在这种情况下,使用Spartan-7 FPGA。

UCF.字段包含XDC文件的名称。

最棘手的财产是包裹.您可以在参考手册中搜索有关芯片包装的信息,或者您可以查看芯片本身,或芯片上的贴纸(贴纸可能包含其他信息)。

修改约束文件

在约束文件中,在描述要使用的资源的行之前删除“#”标记。还会将这些引脚的名称更改为您可以轻松记住的名称(名称不应包含特殊字符)。

笔记:你可以取消每个资源的注释,只要没有任何冲突:多个资源的共享引脚,比如<一种bbr title="Analog-to-Digital Converter">ADC在评论中,XDC文件中提到了数字I / O - 冲突。

填写配置文件

最后一步是将引脚添加到Multisim,这可以通过将下面的线添加到MSPC文件中,每个引脚都可以完成。

<销姓名=“pin_name”模式=“pin_mode”地点=“pin_location”地方=“pin_placed”/>

在代码片段中pin_name.标记大头针的名称(在XDC文件中设置),PIN_MODE.标记该引脚将被使用的模式(它可以有值“在”“出去”“bidir”),pin_location.“正确”, 或者“剩下”,取决于要放置PIN的表格的哪个部分(它们可以在稍后自由移动)和pin_placed如果默认选择引脚,则标记(可具有值)“1”, 或者“0”)。


将配置文件添加到MultiSim

要使您的配置文件可用于Multisim,请将文件复制到PLDCONFIG.多层目录。目录的默认路径为:“C:\ Program Files(X86)\ National Instruments \电路设计套件14.2 \ PLDConfig”。

打开Multisim,开始一个新的PLD设计,并选择你创建的配置文件的FPGA板。命名项目后,您应该能够选择要在项目中使用的引脚。


下一步

要通过Multisim对FPGA Board进行编程,请按照本指南:<一种href="//www.knowreader.com/reference/learn/programmable-logic/tutorials/program_fpgas_through_multisim/start" class="wikilink1" title="通过Multisim编程Digilent FPGA板">通过Multisim编程Digilent FPGA板

如果您对此过程有任何问题,请随时将您的问题发布到<一种href="https://forum.digilentinc.com/forum/4-fpga/" class="urlextern" title="Digilent论坛" rel="nofollow">Digilent论坛