Basys 3通用I/O演示

概述

功能使用

不习惯 使用
16个用户切换 X
16个用户发光二极管 X
5用户按键 X
4位7-Segment显示 X
4 Pmod连接器 X
XADC模拟输入 X
12位VGA输出 X
USB-UART桥 X
用于应用程序数据的串行Flash X
USB HID主机与鼠标 X
USB HID主机与键盘 X

描述

Basys3的入门级示范项目。


先决条件

技能

  • 基本熟悉Vivado

硬件

  • Basys3 FPGA板
  • 微型usb电缆
  • 监视器与VGA端口
  • VGA电缆
  • USB鼠标

软件

  • Vivado Design Suite 2016.4

下载

Basys 3GPIO项目存储库——ZIP归档GIT存储库


下载并启动Basys 3 GPIO演示

1)按照使用Digilent Github演示项目教程。这是一个HDL设计项目,因此不支持Vivado SDK,请选择适合Vivado设计的教程选项。当提示检查额外的硬件要求和设置时,返回本指南。
2)为了充分利用演示,您将需要连接一个串行终端到您的Basys 3。用Micro USB线把你的电路板插到电脑上,确保电路板是打开的。然后打开计算机上的串行终端(如TeraTerm)。在终端应用程序中,设置串口连接到您的板的适当端口,波特率为9600。然后返回Github项目教程,完成编程并运行演示。

3)要使用演示的VGA监视器和USB鼠标功能,您需要将两者连接到您的板上。这并不需要在启动演示之前完成,更多细节将在下一节中提供。

使用Basys 3 GPIO Demo

1.开关、led

所有16个用户交换机都被绑定到相应的交换机上领导.每次开关被拨动时,领导直接在上面它将与它切换。

2.按钮和7段延迟

七段显示是计数从0到9的所有数字时,没有按钮被按下。如果按下BTNU键,7段显示的第一个数字将被关闭。BTNL关闭第二位,BTNR关闭第三位,BTND关闭第四位。BTNC关闭整个显示器并重置计数器。

3.VGA监视器

要使用VGA输出演示,请将显示器插入Basys3 VGA端口。监视器屏幕将有如下所示的一系列移动模式。

4.USB鼠标

要查看演示的USB鼠标部分,请将USB鼠标插入Basys3上的J2 USB端口,监视器仍连接在一起。在屏幕上,您应该能够看到鼠标指针并移动它。

5.UART通信

要查看UART通信通道,在您的计算机上打开一个终端程序,设置为9600波特率,8个数据位,无奇偶校验位和1个停止位。在复位或BTNC时,Basys3将打印Basys3GPIO/ UART演示!终端。对于BTNC以外的按钮按下,终端将打印按钮按下检测到!