开始使用Basys 3(遗产)

警告!

本教程已经过时了。指的是Basys 3算盘演示用于最近的等价项目。


推动它

为Basys3上电,您需要一根微型usb线。在开始之前,请确保JP1上的跳线处于QSPI位置。将此电缆插入Basys3上的JTAG槽,将另一端插入您的计算机,并将电源开关翻转到的位置。这将启动Basys3的开箱演示。

关于这个演示的更多信息可以找到在这里


Vivado入门

本视频将一步步指导你如何开始使用Basys3。

这个演示所需的文件可以通过单击下载在这里.您还需要可以下载的约束文件在这里

更多关于Abacus项目的信息可以在这里找到在这里


1.下载并安装Vivado

1.1)首先,按照我们的指南安装最新版本的Vivado在这里

2.创建一个项目

现在我们已经安装了Vivado,我们将创建一个项目。

2.2)这会打开Vivado的新项目向导。点击下一个你会看到这个屏幕。

2.3)命名您的项目(没有空格!)并选择您的项目保存目录,然后单击下一个.现在您将看到这个屏幕。

2.4)选中“RTL项目”,单击下一个.在这个窗口中,您可以选择您想在项目中使用的任何其他源文件或目录。我们还可以选择使用哪种语言进行编程。

2.5)我们将导入预构建的Verilog文件,所以单击添加文件,导航到以前保存项目文件的地方,并全部选择它们。需要注意的是,如果你检查将资源复制到项目中框,Vivado将创建这些源的独立副本,并将它们放在项目目录中。点击下一个.这个窗口允许您选择现有的ip(知识产权)核,如果您有。

2.6)点击下一个你会看到这个窗口。

2.7)在这里,我们将导入Xilinx设计约束文件(XDC),将HDL信号映射到Artix-7引脚。点击添加文件,导航到你保存Basys3_Master的地方。XDC文件,选择它,然后单击下一个

2.8)此时你将看到零件选择屏幕。

2.9)您将在您的电路板上的Artix-7芯片上找到您需要的所有信息。

2.10)找到我们的板设置以下过滤器
家庭:Artix-7
- Sub-Family: Artix-7
-包装:cpg236
—速度等级:-1
然后选择上面标记的部分xc7a35tcpg236-1并点击下一个然后完成

这将创建您的项目,并将您带到Vivado项目主页。

3.使用Vivado

3.1)在主页上,您将看到您之前在来源盒子。

3.2)双击文件会在右边的窗口中打开它。Basys3_Abacus_Top是我们将要运行的abacus演示的顶层模块。点击[+]按钮将显示它使用的较低级别模块。

3.3)在运行程序之前,必须先使用Basys3_Master将信号映射到引脚。我们导入的XDC文件。为了做到这一点,我们会开放Basys3_Master.xdc。在这个文件中,我们将看到Vivado如何将信号映射到引脚。每一行都应该注释掉(使用#字符),所以它看起来应该是这样的。

3.4)首先,我们要确保我们的信号名称与.xdc文件中的名称匹配。这可以通过比较XDC文件中的信号名称和顶部模块中的信号名称来确认。这些是区分大小写的!

在XDC文件中显示信号:



在顶层模块文件中显示相同的信号:

3.5)一旦这些被确认,我们将取消在.xdc文件中使用的约束的注释。

这些约束可以通过选择我们正在使用的信号行并取消注释(Ctrl+/)来取消注释。在这种情况下,我们使用clk, btnC, btnU, btnD, btnR, btnL, sw[0]到sw[15], led[0]到led[15], seg[0]到seg[6],[0]到[3],以及dp。浏览xdc文件取消注释与这些信号相对应的线。


取消注释xdc文件后,保存它,我们就可以开始编写Basys3了。

4.编程的Basys3

有两种方法来编程你的Basys3 FPGA:使用。bit文件和使用。bin文件。使用.bit文件,我们使用JTAG编程电缆将位文件加载到FPGA中。使用.bin文件编程将使用QSPI在FPGA每次开机时编程。这意味着您不必每次都使用JTAG连接器重新编程。我们将通过单击来指定要生成一个.bin文件工具→项目设置→比特流.在这个窗口,我们将勾选旁边的方框bin_file

4.2)首先,我们将通过单击运行合成运行合成在Vivado左侧的Flow Navigator中的Synthesis下面。

4.3)当Vivado完成了项目的合成,你将看到合成完成窗口。

4.4)点击开放合成设计然后按好吧.现在您应该看到这个窗口

5.1)为了提高编程速度,在主工具栏中选择工具→编辑设备属性…在一般情况下,组启用Bitsream压缩“真正的”。

5.2)在“配置”区域设置配置率(Mhz)“33”。

5.3)在“配置模式”区域,选择“配置模式”主SPI x4

5.4)新闻好吧保存您的合成设计(Ctrl+S),然后单击产生的比特流在左侧的流程导航器中。

这将打开一个框,说明你还没有实现你的设计。

5.6)点击好吧Vivado会生成你的。bit文件,并显示这个框。

5.7)点击开放硬件管理器并点击好吧.您将看到这个屏幕。

5.8)此时,确保您的Basys 3已通过USB连接并打开。现在点击下一个两次,你就会看到这个屏幕。

5.9)设置JTAG时钟频率选择“30000000”,单击下一个紧随其后的是完成

6.使用.bit文件对Basys3进行编程

6.1)首先,确定跳线JP1在JTAG位置。你应该看到这样的东西:

6.2)点击项目设备(在绿色栏中)然后是xc7a35t_0,在位流文件框中选择您的.bit文件,然后单击程序

6.3)将通过JTAG连接器对Basys3进行编程。

7.使用.bin文件编程Basys3

7.1)首先,确保JP1上的跳线处于QSPI位置。在“硬件管理器”窗口中,在“硬件”下右键单击设备并单击添加配置内存设备…

7.2)此窗口将弹出。搜索“Spansion”并选择32位设备(下面高亮显示)。点击好吧在下一个窗口询问是否要对配置内存设备进行编程。

7.3)选择需要配置文件的.bin文件,然后单击好吧

Vivado现在将删除旧配置文件,并使用Abacus演示文件重新编程您的Basys3。从现在开始,当你启动Basys3时,abacus演示将在启动时加载。有关操作算盘演示的信息,请访问在这里