Nexys 4 DDR编程指南

概述

有四种方法可以编程Nexys4-DDR:

  • JTAG
  • 四SPI闪光
  • USB闪存驱动器
  • 微型SD卡

本教程将指导您开始项目并使用这三种可能的方法对Nexys4-DDR FPGA板进行编程所需的知识。建议您在继续这个项目之前先完成“Vivado入门”指南。


先决条件

技能

  • 基本熟悉Vivado
    • 你可以通过浏览我们的“Vivado入门”指南来获得这种体验

硬件

  • Nexys4-DDR FPGA板
  • 微型usb电缆
  • 微型SD卡

软件

  • Vivado设计套件2015.1
    • 可以使用较新/较旧的版本,但过程可能略有不同

董事会的支持文件

  • Nexys4-DDR支持文件

下载

源文件,邮政编码


教程

1.创建项目

首先,我们需要创建一个项目。

1.1)打开Vivado并点击创建新项目打开Vivado的新项目向导。

1.2)将打开一个新窗口,点击下一个你会看到下面的屏幕。命名您的项目(没有空格!)并在单击之前选择您的项目保存目录下一个

1.3)我们将从头开始构建这个项目,并添加我们自己的资源,因此我们将希望创建一个RTL项目。选择“RTL Project”,单击下一个

1.4)在这个窗口中,你可以选择任何源文件或目录,你想在你的项目中使用。我们还可以选择使用哪种语言进行编程。对于这个项目,只需保持默认设置即可。我们将把预构建的Verilog文件导入到我们的项目中,所以点击窗口中心的'+'符号,并导航到你之前保存源文件的位置,选择sw_led.v并点击好吧.在选择您的源之后,一系列的复选框应该成为活动的。复选标记将源代码复制到项目中.如果不勾选此复选框,Vivado将不会创建源文件的单独副本并将其放置在项目目录中。相反,Vivado将直接从源代码读取/修改。点击下一个继续。

1.5)这个窗口让你选择现有的IP(知识产权)核心,如果你有他们,但在本教程中不需要IP。点击下一个

在这里,我们将导入Xilinx Design Constraints文件(XDC),以将HDL信号映射到Artix-7引脚。点击屏幕中间的“+”添加文件,导航到您保存Nexys4-DDR_sw_Demo的位置。XDC文件,选择它,然后单击下一个

此时Vivado将打开一个部件选择窗口。选择董事会标签在下面用橙色突出显示。如果正确安装了板文件,应该会看到Digilent板的列表。选择Nexys4 DDR,单击下一个

这将创建您的项目,并将您带到Vivado项目经理。

现在,您已经成功地导入了您的程序文件,并配置了您的项目以正确地与Nexys4-DDR通信。


2.创建项目文件

对于编写Nexys4-DDR FPGA的三种方法,有两种文件类型可用:.bit和.bin文件。使用。bit文件,我们可以使用JTAG编程电缆或标准USB存储设备将比特文件加载到FPGA中。使用。bin文件进行编程将在FPGA每次开机时使用QuadSPI进行编程。这意味着你不必每次都通过微型USB电缆或拇指驱动器来重新编程。下面的步骤将让你准备好编写你的Nexys4-DDR。

2.1)为了在FPGA启动时编程,我们必须指定要生成一个.bin文件。这可以通过单击来完成工具→项目设置→比特流.在这个窗口中,我们将选中bin_file旁边的复选框。现在Vivado将在我们生成Bitstream时创建一个.bit和.bin文件。

2.2)首先,我们将通过点击运行合成运行合成位于Vivado左侧Flow Navigator中的Synthesis下方。

2.3)当程序完成合成你的项目,你会看到合成完成窗口如下。点击开放合成设计然后点击好吧

现在你应该在右边的窗口中看到你的合成设计。它应该是这样的:

2.5)为了提高。bin文件的编程速度,在主工具栏中选择工具→编辑设备属性.在一般情况下,组启用Bitsream压缩“真正的”。

2.6)在“配置”下设置配置率(Mhz)33

2.7)在“配置模式”下,选择主SPI x4

2.8)新闻好吧,保存您的合成设计(Ctrl+S),然后单击产生的比特流在左侧的Flow Navigator中。

2.9)这将打开一个盒子,说明你没有实现你的设计。点击好吧

2.10) Vivado将开始生成您的bit和bin文件。完成后,Vivado可能会显示一个比特流生成完成盒子。随意选择开放的设计实现,或查看报告但您也可以取消,以继续使用指南。

至此,您已经成功创建了一个.bit和.bin文件,可以为Nexys4-DDR编程了。如前所述,有三种方法编程Nexys4-DDR FPGA板,我们将在下面逐一介绍。


3.使用JTAG编程Nexys4-DDR

JTAG主要用作编程、调试和探测接口,通过micro-USB接口进行通信。这使得与Nexys4-DDR的接口很容易,因为微型usb连接既可以为你的电路板供电,也可以为它编程。

3.1)首先,确保跳线JP1处于JTAG位置,Nexys4-DDR通过micro-USB线插入您的电脑。一旦电路板被插入并连接,你应该看到这样的东西。

3.2)点击项目设备在绿色条中,然后单击xc7a35t_0,然后在位流文件框中选择您的.bit文件,然后单击程序

这将通过JTAG连接器对Nexys4-DDR进行编程。一旦编程窗口关闭,继续测试你的板。在你的板子底部的每个幻灯片开关现在应该分别切换领导打开和关闭。


4.使用USB闪存驱动器或Micro - SD卡编程Nexys4-DDR

需要注意的是,您选择的用于编程Nexys4-DDR的USB设备或Micro SD卡必须使用Fat32格式化。除此之外,你的设备不一定是空的,可以包含其他文件和文件夹。在这一点上Vivado不再需要编程FPGA,所以你可以最小化你的项目,并在文件资源管理器中遵循以下步骤:

4.1)确保JP1上的跳线在USB/SD位置。根据您所使用的设备,选择JP2到SD或USB的位置。
4.2)将USB flash设备或Micro - SD卡插入计算机。
4.3)打开文件资源管理器,导航到Vivado项目的根文件夹。
4.4)从root进入root→Project_name.runs→impl_1,复制。bit文件。
4.5)到你的USB或Micro - SD设备的根目录并粘贴。bit文件。在启动时,Nexys4-DDR只会通过USB根目录查找扩展名为。bit的文件,所以重要的是,根目录中唯一的。bit文件是你想用来编程Nexys4-DDR的文件。
4.6)安全地将USB设备或Micro - SD卡从计算机中取出,并将其插入Nexys4-DDR的USB端口。
4.7)确保您的电路板连接到您的电脑电源,并打开Nexys4-DDR。它应该立即开始将.bit文件写入FPGA。

一旦完成,您的板应该完全像它在使用JTAG编程时那样工作。不管你是否意识到,你刚刚使用相同的.bit文件以两种不同的方式成功编程了Nexsys4-DDR板。


5.使用Quad SPI编程Nexys4-DDR

Quad SPI Flash是一种非易失性内存,FPGA芯片在每次启动时都会查看它。如果Quad SPI是闪存,那么FPGA将使用在Quad SPI的闪存中找到的内容编写自己的程序。当你有一个最终项目,你想要演示或显示,而不需要编辑,因此重新编程时,这种编程方法是很好的。

5.1)确保JP1上的跳线处于QSPI位置
5.2)在“硬件管理器”窗口中,在“硬件”下右键单击设备,然后单击添加配置内存设备…

5.3)弹出此窗口。搜索“Spansion”并选择128位设备(下面高亮显示)。点击好吧下一个窗口询问你是否要对配置内存设备进行编程。

5.4)选择需要配置文件的.bin文件,最后单击好吧

Vivado现在将删除旧的配置文件,并使用演示文件重新编程Nexys4-DDR。从现在开始,当你打开你的板,演示将在启动时加载,直到你重新编程。