从Nexys4开始

盒子里有什么?

  • Nexys4
  • 微型usb电缆

- - -

推动它

要给Nexys4上电,你需要一根微型usb线。将这根电缆插入Nexys4的JTAG插槽,另一端插入你的电脑,将电源开关拨到“on”的位置。这将开始开箱即用的演示。

关于这个启动演示的更多信息可以找到在这里


开始Vivado

这段视频将一步步地告诉你如何开始使用Nexys4。

这个演示所需的文件可以通过单击下载在这里.您还需要可以下载的约束文件在这里

关于Abacus项目的更多信息可以找到在这里

下载并安装Vivado

首先,按照我们的指南安装最新版本的Vivado在这里

创建一个项目

现在我们已经安装了Vivado 14.3,我们将创建一个项目。

这将打开Vivado的新项目向导。点击“下一步”,你会看到这个画面。

命名您的项目(没有空格!),并在单击“下一步”之前选择您的项目保存目录。现在您将看到这个屏幕。

选择RTL项目,点击“下一步”

在此窗口中,您可以选择希望在项目中使用的任何其他源文件或目录。我们还可以选择使用哪种语言进行编程。

我们将导入预构建的Verilog文件,所以单击“Add files”,导航到之前保存项目文件的位置,并选择所有文件。需要注意的是,如果你勾选“将源代码复制到项目中”框,Vivado将创建这些源代码的独立副本,并将它们放在你的项目目录中。单击“下一步”。

这个窗口允许您选择现有的ip(知识产权)核心(如果您有的话)。

点击“下一步”,你会看到这个窗口。

在这里,我们将导入Xlilinx Design Constraints文件(XDC),以将HDL信号映射到Artix-7引脚。点击“添加文件”,导航到你保存文件的地方Nexys4_Master.xdc文件,选择该文件,单击“Next”。

此时,您将看到部件选择屏幕。要找到我们的董事会设置以下过滤器

家庭:Artix-7
Sub-Family: Artix-7
包:csg324
速度等级:1

选择标签为“xc7a100tcsg324-1”的部件,然后单击“下一步”,然后单击完成。

你会在电路板上的Artix-7芯片上找到你需要的所有信息。

{{basys3:5.png吗?nolink&500 |

这将创建您的项目,并将您带到Vivado项目主页。


使用Vivado

在主页上,您将在“源”框中看到前面导入的文件。

双击一个文件将在右边的窗口中打开它。“Nexys4_Abacus_Top”是我们将要运行的算盘演示的顶层模块。点击[+]按钮会显示其中使用的低级模块。

在运行程序之前,必须首先使用Nexys4_Master将信号映射到引脚。我们导入的XDC文件。为此,我们将打开Nexys4_Master.xdc。在这个文件中,我们将看到Vivado如何将信号映射到引脚。此时,每一行都应该被注释掉(使用#),所以它应该看起来像这样。

首先,我们要确保信号名称与.xdc文件中的名称匹配。这可以通过比较.xdc文件中的信号名和顶部模块中的信号名来确认。这些是区分大小写的!

.xdc文件

高层模块文件

一旦确认这些约束,我们将取消在.xdc文件中使用的任何约束的注释。可以通过选择要使用的信号行并取消注释(Ctrl+/)来取消这些约束。在这种情况下,我们使用clk、btnC、btnU、btnD、btnR、btnL、sw[0]到sw[15]、led[0]到led[15]、seg[0]到seg[6]、[0]到[7]和dp。遍历xdc文件,取消与这些信号对应的注释。

取消xdc文件的注释后,保存它,我们就可以开始编写Nexys4了。


编程的Nexys4

有两种方法来编程你的Nexys4 FPGA:使用。bit文件和使用。bin文件。使用一个.bit文件,我们使用JTAG编程电缆将比特文件加载到FPGA中。使用.bin文件进行编程将在FPGA每次开机时使用QSPI对其进行编程。这意味着您不必每次都使用JTAG连接器对其重新编程。我们将通过点击工具>项目设置>Bitstream来指定我们想要生成一个.bin文件。在这个窗口中,我们将选中.bin_file旁边的复选框。

首先,我们将通过点击Vivado左侧Flow Navigator中synthesis下方的“run synthesis”来运行合成。

当合成你的项目完成后,你会看到合成完成窗口。

点击“打开合成设计”,然后按确定。在此之后,您可能会得到几个警告,但这只是指Nexys4主XDC文件中没有由我们的HDL设计实现的部分。最好的做法是只取消对设计中使用的信号引脚的注释,但这最终不会影响我们。

现在您应该看到这个窗口

为了提高编程速度和避免编程错误,在主工具栏中选择工具>编辑设备属性…

在“常规”下,将“启用比特流压缩”设置为“TRUE”。在“配置”区域,设置“配置速率(Mhz)”为“33”。在“配置模式”下,选择“主SPI x4”

按确定,保存你的合成设计(Ctrl+S),然后在左侧的Flow Navigator中单击“Generate Bitstream”。这将打开一个盒子,说明您还没有实现您的设计。点击“确定”,Vivado将生成您的。bit文件,并显示此框。单击“Open Hardware Manager”,然后单击“OK”。

您将看到这个屏幕。此时,请确保您的Nexys4通过USB插入并打开。现在单击Next两次,您将看到这个屏幕。将“JTAG时钟频率”设置为“30000000”,选择设备,单击“下一步”,然后单击“完成”。

使用。bit文件编程Nexys4

首先,确保跳投JP1处于JTAG位置。你应该看到这样的东西。点击“程序设备”(在绿色条中),然后是xc7a35t_0,在位流文件框中选择你的。bit文件,然后点击程序。这将通过JTAG连接器对Nexys4进行编程。

使用。bin文件编程Nexys4

首先,确保JP1上的跳线在QSPI位置,在硬件管理器窗口下,右键单击你的设备,然后单击Add Configuration Memory device…将弹出此窗口。搜索“Spansion”并选择s25fl128sxxxxxx0- spii -x1_x2_x4(如下高亮显示)。在下一个窗口中单击确定,询问是否要对配置内存设备进行编程。

选择需要配置文件的.bin文件,最后单击OK。

Vivado现在将删除旧的配置文件,并使用Abacus演示文件重新对Nexys4进行编程。从现在开始,当你启动Nexys4时,算盘演示将在启动时加载。