nexys4ddr入门

盒子里有什么?


为它推送

要给Nexys4DDR上电,你需要一根微型usb线缆。将这条电缆插入Nexys4DDR的JTAG插槽,将另一端插入计算机,然后将电源开关拨到“on”位置。这将开始开箱即用的演示。

关于这个启动演示的更多信息可以找到这里


vivado入门

单击可以通过单击下载此演示所需的文件这里.您还需要约束文件。约束文件依赖于开发环境。对于ISE设计,UCF文件可以下载这里.对于Vivado设计,可以下载XDC文件这里

有关算盘项目的更多信息可以找到这里

下载并安装Vivado

首先,按照我们的指南安装Vivado的最新版本这里

创建一个项目

现在我们已经安装了Vivado 14.3,我们将创建一个项目。

这将打开Vivado的新项目向导。单击“下一步”,您将看到此屏幕。

命名您的项目(没有空格!),并选择您的项目保存目录,然后单击“下一步”。现在您将看到这个屏幕。

选择RTL Project,点击“Next”

在此窗口中,您可以选择希望在项目中使用的任何其他源文件或目录。我们还可以选择使用哪种语言进行编程。

我们将要导入预先构建的Verilog文件,以便单击“添加文件”,导航到从以前从中保存项目文件的位置,然后选择全部。应当注意,如果将“复制源转为项目”框,Vivado将创建这些源的单独副本并将其放在项目目录中。点击下一步”。

此窗口如果您有它们,可以选择现有的IPS(知识产权)核心。

点击“下一步”,你会看到这个窗口。

在这里,我们将导入Xlilinx Design Constraints文件(XDC),以将HDL信号映射到Artix-7引脚。点击“添加文件”,导航到你保存文件的地方Nexys4DDR_Master.xdc文件,选择它,然后单击“下一步”。

此时,您将看到部件选择屏幕。要找到我们的董事会,请设置以下过滤器

家庭:ARIX-7
子家庭:ARIX-7
包装:CSG324
速度等级:1

选择标记为“xc7a100tcsg324-1”的部件,单击“Next”,然后单击“finish”。

您将在您的董事会上找到您在ARTIX-7芯片上所需的所有信息。

{{{:basys3:5.png?nolink&500 |

这将创建您的项目,并将您带到Vivado项目主页。


与Vivado合作

整我

在主页上,您将看到前面在“Sources”框中导入的文件。

双击一个文件将在右边的窗口中打开它。“Nexys4_Abacus_Top”是我们将要运行的abacus演示的顶级模块。点击[+]按钮将显示其中使用的较低级模块。

在运行程序之前,必须首先使用Nexys4DDR_Master将信号映射到引脚。我们导入的XDC文件。为此,我们将打开Nexys4DDR_Master.xdc。在这个文件中,我们将看到Vivado如何将信号映射到引脚。此时,每一行都应该被注释掉(使用#),因此它看起来应该是这样的。

首先,我们想确保我们的信号名称与.xdc文件中的信号名称匹配。这可以通过将.xdc文件中的信号名称与顶部模块中的信号名称进行比较来确认。这些区分大小写!

.xdc文件

顶部模块文件

一旦确认了这些约束,我们将取消.xdc文件中使用的任何约束的注释。可以通过选择我们正在使用的信号行并取消注释(Ctrl+/)来取消这些约束的注释。在本例中,我们使用clk、btnC、btnU、btnD、btnR、btnL、sw[0]到sw[15]、led[0]到led[15]、seg[0]到seg[6]、[0]到[7]和dp。检查xdc文件并取消注释与这些信号对应的行。

在取消xdc文件的注释之后,保存它,然后我们就可以开始编写您的Nexys4DDR了。


编程NEXYS4DDR.

有两种方法可以对您的Nexys4DDR FPGA进行编程:使用.bit文件和使用.bin文件。使用.bit文件,我们使用JTAG编程电缆将位文件加载到FPGA中。使用.bin文件编程将使用QSPI来编程FPGA每次上电时。这意味着您不必每次都使用JTAG连接器重新编程。我们将通过点击Tools>Project Settings>Bitstream来指定我们想要生成一个。bin文件。在这个窗口中,我们将选中.bin_file旁边的复选框。

首先,我们将通过点击Vivado左侧的Flow Navigator中的synthesis下方的“run synthesis”来运行合成。

完成综合项目后,您将看到合成已完成的窗口。

点击“打开综合设计”,然后按“确定”。在此之后,您可能会收到几个警告,但这只是指Nexys4DDR主XDC文件中没有由我们的HDL设计实现的部分。只取消设计中使用的信号引脚的注释是一个很好的做法,但这最终不会影响到我们。

你现在应该看到这个窗口

为了提高编程速度并避免编程错误,在主工具栏中选择工具>编辑设备属性...

在“常规”下,将“启用Bitsream压缩”设置为“TRUE”。在配置中,将配置速率(MHz)设置为33。在配置模式下,选择主SPI X4

按OK,保存合成设计(CTRL + S),然后单击左侧的流导航器中的“生成比特流”。这将打开一个盒子,说明您没有实现您的设计。点击“确定”,Vivado将生成你的。bit文件,并显示这个框。单击“打开硬件管理器”,然后单击“确定”。

你会看到这个屏幕。此时,请确保您的Nexys4DDR已通过USB接入并打开。现在单击Next两次,您将看到这个屏幕。设置JTAG时钟频率为30000000,选择设备,点击“Next”,然后点击“Finish”。

使用.bit文件编程nexys4ddr

首先,确保跳线JP1处于JTAG位置。你应该看到这样的东西。单击“程序设备”(在绿色栏中)然后XC7A35T_0,在BitStream文件框中选择您的.bit文件,然后单击“程序”。这将通过JTAG连接器编程NEXYS4DDR。

使用。bin文件编程Nexys4DDR

首先,确保JP1上的跳线在QSPI位置在硬件管理器窗口,在硬件下右键单击你的设备,然后单击添加配置内存设备…此窗口将弹出。搜索“Spansion”,然后选择S25FL128SXXXXXX0-SPI-X1_X2_X4(如下突出显示)。在下一个窗口中单击确定,询问您是否要编写配置内存设备。

选择需要配置文件的.bin文件,最后单击OK。

Vivado现在将删除旧配置文件,并使用ABACUS演示文件重新编程NEXYS4DDR。从现在开始,当您激活NEXYS4DDR时,ABACUS演示将在启动时加载。