Arty A7 Pmod VGA演示

在建设中


描述

这个简单的VGA演示项目演示了Pmod VGA连接到Arty A7的Pmod端口的使用。其行为如下:

  • 在连接的VGA显示器上显示一个弹跳盒和黑色、白色和多种颜色的条。
  • Pmod VGA由火炮通过Pmod端口JB和JC控制。
  • 屏幕分辨率可以通过HDL代码进行配置。

库存


下载及使用说明

首先,发行版(由一组用于下载的文件组成)只与Xilinx工具的特定版本兼容,正如发行版名称中所指定的那样(称为a版本标记).此外,发行版只与指定的板的变体兼容。例如,标记为“20/DMA/2020.1”的Zybo Z7发布仅用于-20变体的板和Vivado 2020.1。

此演示的最新版本以绿色高亮显示。

注意:2020.1之前的FPGA演示版本使用了不同的git结构,并使用了不同的版本标记命名方案。

董事会变体 版本标记 版本下载 设置说明
艺术A7-35 35 / Pmod-VGA / 2020.1 - 1 ZIP下载发布 看到使用最新版本,低于
附庸风雅的a7 - 100 100 / Pmod-VGA / 2020.1 - 1 ZIP下载发布 看到使用最新版本,低于
艺术A7-35 v2018.2-1 ZIP下载发布 v2018.2-1 Github的自述
附庸风雅的a7 - 100 v2018.2-1 ZIP下载发布 v2018.2-1 Github的自述

高级用户注意事项:所有A7的演示都是通过Arty-A7在Github库。关于这个存储库结构的更多文档可以在这个wiki上找到Digilent FPGA演示Git仓库页面。


关于最新版本的使用说明可以在下拉列表中找到:

使用最新版本

注意:这个工作流在许多Digilent FPGA演示中都是通用的。屏幕截图可能与您正在使用的演示程序不匹配。

重要的:这些步骤只适用于Xilinx工具2020.1及更新版本的发行版。旧版本可能需要其他流程,如版本表中所示。

首先,从演示版本页面下载并解压“*.xpr.zip”文件,链接在上面。


从一个版本中打开一个Vivado项目
发射Vivado

选择与您的操作系统对应的下拉菜单,如下所示。

窗户

通过安装过程中创建的开始菜单或桌面快捷方式打开Vivado。

Linux

打开终端,将目录(cd)切换到Vivado会话日志文件所在的文件夹,然后运行以下命令:

源< install_path > / Vivado / <版本> / settings64.sh Vivado


在Vivado的欢迎屏幕中,使用开放项目按钮,导航并打开XPR文件包含在释放解压缩到的文件夹。


构建一个Vivado项目

注意,如果你的项目已经生成了一个比特流,窗口右上角的状态会显示“write_bitstream完成!”,你就可以跳过这一节了。

生成一个比特流

为了创建一个可用于对目标板进行编程的文件,需要运行“编译管道”的每个阶段。

这开始于合成.在XDC文件中包含的约束条件下,Synthesis创建逻辑门的描述以及它们之间的连接,这些逻辑门和连接是执行HDL文件所描述的功能所必需的。要运行Synthesis,点击任意一个在工具栏或流导航器.然后将Synthesis的输出传递给Implementation。

实现有几个步骤。总是运行的步骤是选择设计(优化设计以适应目标FPGA),地方的设计(在目标FPGA结构中布置设计),以及路线设计(路由信号通过织物)。要运行Implementation,单击任意一个在工具栏或流导航器.这个输出然后被传递给位流生成器。

比特流发生器生成FPGA编程所需的最终输出文件。要运行比特流生成,请单击任一在工具栏或流导航器.如果没有更改设置,生成器将创建一个'。一些文件。

根据设计的复杂性、使用的板和计算机的强度,构建项目的过程可能需要5到60分钟。完成后,将出现一个弹出对话框,提示您从几个选项中选择一个。这些都与本指南的目的无关,所以请单击取消.“write_bitstream complete”状态信息可以在窗口的右上角看到,表明演示已经准备好部署到您的板上了。


设置火炮A7
将microb编程电缆插入Arty A7的PROG/UART端口。将Pmod的VGA接口连接到JB和JC Pmod接口。通过VGA线缆将VGA Monitor连接到Pmod VGA。
在FPGA板上编程位流

Vivado的硬件管理器可以通过点击打开开放硬件管理器在Vivado窗口左侧的“流导航器”窗格的底部。

为设备编程的第一步是将Vivado Hardware Server作为目标连接到它。为了得到开放硬件的目标向导中单击链接在靠近窗户顶部的绿色横幅上。从打开的下拉菜单中选择

向导打开后,单击下一个


下一个屏幕将询问硬件服务器是本地的还是远程的。如果连接到主机,选择“本地”,如果连接到其他机器,选择“远程”,填写主机名港口字段。

点击下一个继续。


此屏幕给出连接到硬件服务器的设备列表。如果只有一个连接,它将是显示的唯一设备。

点击下一个继续。


最后一个屏幕显示了向导中选择的选项的摘要。确认信息无误后,单击完成.单板现在已经连接到硬件服务器。


要使用先前生成的位文件对设备进行编程,可以单击链接绿色的旗帜窗口的顶部,或单击按钮流导航器.从打开的下拉菜单中,选择要编程的设备(例如:),并会打开以下视窗:

比特流文件字段应该自动填充先前生成的位文件。如果没有,请单击按钮,并导航到
<项目目录> / <项目名称> .runs / impl_1/,然后选择位文件(例如:).现在点击程序.这将连接到电路板,清除当前配置,并使用新位文件进行编程。


在这一点上,演示现在正在您的板上运行。指的是描述功能有关它的功能的更多信息,请参阅本文档的章节。


功能

1.查看结果

VGA监视器将显示一组彩色、黑色和白色的条,以及一个移动的框,如下所示。您可能需要调整显示器的设置以正确对齐演示图像。

2.改变分辨率

如果VGA显示器不支持1080p,您可能希望更改显示分辨率,或者您希望为特定的应用程序修改演示程序。

要选择不同的显示分辨率,请从第47行开始的列表中为您的目标分辨率选择合适的Sync Generation常量集top.vhd.取消对十个对应常数的注释,FRAME_WIDTH通过V_POL,并注释这些常量的默认版本。默认分辨率为1920×1080 @ 60Hz。

下一个选择项目经理在“流导航器”中。在层次结构选项卡,展开在设计源下双击clk_div_inst.将clk_out1请求的频率(下面用红色圈出的部分)更改为所选分辨率的同步生成注释块中指定的所需pxl_clk频率。选择,然后生成在弹出的Generate Output Products对话框中。要用新的硬件重新编程,请回到步骤2。


额外的资源

所有有关A7火炮使用的资料都可以在它的资源中心

所有与使用清单其他产品相关的材料都可以在他们的资源中心找到,链接如下:

要了解在Vivado中创建简单HDL项目的过程,请参见开始使用Vivado进行硬件设计.重要部分的信息GUI,以及在硬件中修改、重建和运行这个演示所需步骤的间接讨论也可以在这里找到。

如需技术支持,请访问FPGADigilent论坛的一部分。


{{标签>项目arty-a7}}