火炮S7通用I/O演示


描述

Arty S7的led、开关、按钮和USB-UART桥的入门级演示项目。


库存


下载和用法说明

首先,发行版(由一组用于下载的文件组成)只与Xilinx工具的特定版本兼容,正如发行版名称中所指定的那样(称为a版本标记).此外,释放仅与板的指定变体兼容。例如,Zybo Z7的释放标记的“20 / DMA / 2020.1”仅用于电路板和Vivado 2020.1的-20变体。

此演示的最新版本以绿色高亮显示。

注意:从2020.1之前的FPGA演示发布使用不同的GIT结构,并使用不同的释放标签命名方案。

董事会变体 发行标签 版本下载 设置说明
arty s7-25 25 /GPIO./ 2020.1 - 1 ZIP下载发布 看到使用最新版本,低于
arty s7-50. 50 /GPIO./ 2020.1 - 1 ZIP下载发布 看到使用最新版本,低于
arty s7-25 v2018.2-1 ZIP下载发布 v2018.2-1 Github的自述
arty s7-50. v2018.2-1 ZIP下载发布 v2018.2-1 Github的自述

高级用户注意事项:所有的S7的演示都是通过arty-s7在Github库。关于这个存储库结构的更多文档可以在这个wiki上找到Digilent FPGA演示GIT存储库页面。


有关使用最新版本的说明,请在此下拉列表中找到:

使用最新版本

注意:在许多Diulent FPGA演示中,此工作流程是常见的。屏幕截图可能与您正在使用的演示不匹配。

重要的:这些步骤只适用于Xilinx工具2020.1及更新版本的发行版。旧版本可能需要其他流程,如版本表中所示。

首先,从上面链接的演示版本页面下载并提取“* .xpr.zip”文件。


从一个版本中打开一个Vivado项目
发射Vivado

选择与您的操作系统对应的下拉菜单,如下所示。

视窗

通过安装过程中创建的开始菜单或桌面快捷方式打开Vivado。

Linux

打开终端,并将目录(CD)更改为可以放置Vivado会话的日志文件的文件夹,然后运行以下命令:

源< install_path > / Vivado / <版本> / settings64.sh Vivado


在Vivado的欢迎屏幕中,使用开放项目按钮,导航并打开XPR文件包含在释放解压缩到的文件夹。


建立一个Vivado项目

请注意,如果您的项目已经具有生成的比特流,如窗口右上角的状态所示,读取“write_bitstream完成!”,那么您可以跳过此部分。

生成一个比特流

为了创建可用于编程目标板的文件,需要运行“编译流水线”的每个阶段。

这开始于合成.合成在给定由XDC文件中包括的约束,在执行HDL文件所描述的功能所需的功能所需的逻辑门和连接之间的描述。运行综合单击在工具栏或在里面流导航器.然后将Synthesis的输出传递给Implementation。

执行有几个步骤。始终运行的步骤是选择选择(优化设计以适应目标FPGA),放置设计(在目标FPGA结构中布置设计),以及路线设计(路由信号通过织物)。要运行Implementation,单击任意一个在工具栏或在里面流导航器.然后将此输出传递到比特流发生器。

比特流发电机生成FPGA编程所需的最终输出文件。要运行比特流生成,请单击任一在工具栏或在里面流导航器.如果没有更改设置,生成器将创建一个'。一些文件。

根据设计的复杂性、使用的板和计算机的强度,构建项目的过程可能需要5到60分钟。完成后,将出现一个弹出对话框,提示您从几个选项中选择一个。这些都与本指南的目的无关,所以请单击取消.“write_bitstream complete”状态信息可以在窗口的右上角看到,表明演示已经准备好部署到您的板上了。


设置火炮S7
将microb编程电缆插入Arty S7的PROG/UART端口。这将为板提供电源,并将板连接到计算机上进行编程和串行通信。
在FPGA板上编程位流

可以通过点击打开Vivado的硬件管理器开放硬件管理器在Vivado窗口左侧的“流动导航窗格”底部。

编程设备的第一步是将Vivado硬件服务器连接到它作为目标。到达打开硬件目标向导中单击链接在窗口顶部附近的绿色横幅。从打开的下拉下来,选择

向导打开后,单击下一个


下一个屏幕将询问硬件服务器是本地的还是远程的。如果连接到主机,选择“本地”,如果连接到其他机器,选择“远程”,填写主机名港口字段。

点击下一个接着说。


此屏幕给出连接到硬件服务器的设备列表。如果只有一个连接,它将是显示的唯一设备。

点击下一个接着说。


最后一个屏幕显示了向导中选择的选项的摘要。确认信息无误后,单击结束.电路板现在连接到硬件服务器。


要使用先前生成的位文件对设备进行编程,可以单击链接绿色的旗帜窗口的顶部,或单击按钮流导航器.从打开的下拉菜单中,选择要编程的设备(例如:),并会打开以下视窗:

比特流文件字段应自动使用前面生成的位文件填充。如果没有,请单击字段右端按钮并导航到
<项目目录> / <项目名称> .runs / impl_1/并选择位文件(示例:).现在点击程序.这将连接到电路板,清除当前配置,并使用新位文件进行编程。


此时,演示现已在您的电路板上运行。参考描述功能有关它的功能的更多信息,请参阅本文档的章节。


功能

1.使用led开关

对于此部分,所有交换机都与其相对应引领.每次开关被拨动,就引领它的正上方将与它进行切换。
这两个三色led将循环颜色,不受其他I/O的干扰。

2.使用按钮的UART通信

在启动时,arty s7将传输“artyGPIO./ UART演示!“。每当按下按钮时,ARTY S7会传输“检测到的按钮”。


额外的资源

与使用ARTY S7相关的所有材料都可以在其上找到资源中心

对于在Vivado创建简单的HDL项目的过程中,请参阅vivado入门仅用于硬件设计.重要部分的信息GUI,以及在硬件中修改、重建和运行这个演示所需步骤的间接讨论也可以在这里找到。

有关技术支持,请访问FPGADigilent论坛的一部分。