Basys 3通用I/O演示

在建设中


描述

这个演示包含了一个Vivado项目,使用了Basys 3的开关,led,按钮,七段显示,VGA连接器,USB HID主机端口和USB UART桥,用VHDL编写:

  • 当在电路板上编程时,所有的16个开关都与它们相应的led相连。每次开关被拨动,就领导它的正上方将与它进行切换。
  • 用VGA线缆连接到Basys 3上的电脑显示器显示一系列的移动模式。当演示程序开始运行时,如果USB接口上有一个鼠标,屏幕上就会显示一个光标,可以用鼠标移动光标。
  • 七段显示计数从0到9,只要没有按下按钮。只要BTNU被按下,7段显示的第一个数字就会被关闭。以同样的方式,BTNL关闭第二位,BTNR关闭第三位,BTND关闭第四位。BTNC关闭整个显示并重置计数器。
  • 每当按下复位按钮或BTNC时,Basys 3就发送一行“BASYS3”GPIO/ UART演示!连接到串行终端。每当按下除BTNC之外的方向键之一时,就会出现“Button press detected!””发送。

库存


下载及使用说明

首先,发行版(由一组用于下载的文件组成)只与Xilinx工具的特定版本兼容,正如发行版名称中所指定的那样(称为a版本标记).此外,发行版只与指定的板的变体兼容。例如,标记为“20/DMA/2020.1”的Zybo Z7发布仅用于-20变体的板和Vivado 2020.1。

此演示的最新版本以绿色高亮显示。

注意:2020.1之前的FPGA演示版本使用了不同的git结构,并使用了不同的版本标记命名方案。

版本标记 版本下载 设置说明
GPIO/ 2020.1 - 1 ZIP下载发布 看到使用最新版本,低于
v2018.2-3 ZIP下载发布 v2018.2-3 Github的自述
v2018.2-2 ZIP下载发布 v2018.2-2 Github的自述
v2018.2-1 ZIP下载发布 v2018.2-1 Github的自述

高级用户注意事项:Basys 3的所有演示都是通过Basys-3在Github库。关于这个存储库结构的更多文档可以在这个wiki上找到Digilent FPGA演示Git仓库页面。


关于最新版本的使用说明可以在下拉列表中找到:

使用最新版本

注意:这个工作流在许多Digilent FPGA演示中都是通用的。屏幕截图可能与您正在使用的演示程序不匹配。

重要的是:这些步骤只适用于Xilinx工具2020.1及更新版本的发行版。旧版本可能需要其他流程,如版本表中所示。

首先,从演示版本页面下载并解压“*.xpr.zip”文件,链接在上面。


从一个版本中打开一个Vivado项目
发射Vivado

选择与您的操作系统对应的下拉菜单,如下所示。

窗户

通过安装过程中创建的开始菜单或桌面快捷方式打开Vivado。

Linux

打开终端,将目录(cd)切换到Vivado会话日志文件所在的文件夹,然后运行以下命令:

源< install_path > / Vivado / <版本> / settings64.sh Vivado


在Vivado的欢迎屏幕中,使用开放项目按钮,导航并打开XPR文件包含在释放解压缩到的文件夹。


构建一个Vivado项目

注意,如果你的项目已经生成了一个比特流,窗口右上角的状态会显示“write_bitstream完成!”,你就可以跳过这一节了。

生成一个比特流

为了创建一个可用于对目标板进行编程的文件,需要运行“编译管道”的每个阶段。

这开始于合成.在XDC文件中包含的约束条件下,Synthesis创建逻辑门的描述以及它们之间的连接,这些逻辑门和连接是执行HDL文件所描述的功能所必需的。要运行Synthesis,点击任意一个在工具栏或流导航器.然后将Synthesis的输出传递给Implementation。

实现有几个步骤。总是运行的步骤是选择设计(优化设计以适应目标FPGA),地方的设计(在目标FPGA结构中布置设计),以及路线设计(路由信号通过织物)。要运行Implementation,单击任意一个在工具栏或流导航器.这个输出然后被传递给位流生成器。

比特流发生器生成FPGA编程所需的最终输出文件。要运行比特流生成,请单击任一在工具栏或流导航器.如果没有更改设置,生成器将创建一个'。一些文件。

根据设计的复杂性、使用的板和计算机的强度,构建项目的过程可能需要5到60分钟。完成后,将出现一个弹出对话框,提示您从几个选项中选择一个。这些都与本指南的目的无关,所以请单击取消.“write_bitstream complete”状态信息可以在窗口的右上角看到,表明演示已经准备好部署到您的板上了。


设置贝斯3
通过microrousb编程电缆将Basys 3插入计算机。

将VGA线缆的一端插入视频监视器,另一端插入Basys 3的VGA端口。

将USB鼠标插入Basys 3。


在FPGA板上编程位流

Vivado的硬件管理器可以通过点击打开开放硬件管理器在Vivado窗口左侧的“流导航器”窗格的底部。

为设备编程的第一步是将Vivado Hardware Server作为目标连接到它。为了得到开放硬件的目标向导中单击链接在靠近窗户顶部的绿色横幅上。从打开的下拉菜单中选择

向导打开后,单击下一个


下一个屏幕将询问硬件服务器是本地的还是远程的。如果连接到主机,选择“本地”,如果连接到其他机器,选择“远程”,填写主机名港口字段。

点击下一个继续。


此屏幕给出连接到硬件服务器的设备列表。如果只有一个连接,它将是显示的唯一设备。

点击下一个继续。


最后一个屏幕显示了向导中选择的选项的摘要。确认信息无误后,单击完成.单板现在已经连接到硬件服务器。


要使用先前生成的位文件对设备进行编程,可以单击链接绿色的旗帜窗口的顶部,或单击按钮流导航器.从打开的下拉菜单中,选择要编程的设备(例如:),并会打开以下视窗:

比特流文件字段应该自动填充先前生成的位文件。如果没有,请单击按钮,并导航到
<项目目录> / <项目名称> .runs / impl_1/,然后选择位文件(例如:).现在点击程序.这将连接到板,清除当前配置,并使用新的位文件编程。


在这一点上,演示现在正在您的板上运行。指的是描述功能有关它的功能的更多信息,请参阅本文档的章节。


功能

1.开关、led

所有16个用户交换机都与它们对应的交换机绑定在一起领导.每次开关被拨动,就领导它的正上方将与它进行切换。

2.按钮和7段延迟

在没有按下按钮的情况下,七段显示器从0到9计数所有数字。如果按BTNU键,将关闭七段显示的第一位数字。BTNL关闭第二个数字,BTNR关闭第三个数字,BTND关闭第四个数字。BTNC关闭整个显示并重置计数器。

3.VGA监视器

要使用VGA输出演示程序,请将显示器插入Basys3的VGA端口。监视器屏幕将有如下所示的一系列移动模式。

4.USB鼠标

要查看演示的USB鼠标部分,请将USB鼠标插入Basys3上的J2 USB端口,显示器仍连接在该端口上。在屏幕上,您应该能够看到鼠标指针并移动它。

5.UART通信

要查看UART通信通道,在计算机上打开一个终端程序,设置为9600波特率,8个数据位,没有奇偶校验位和1个停止位。在重置或BTNC时,Basys3将打印Basys3GPIO/ UART演示!终端。在BTNC以外的按钮按下,终端将打印按钮按下检测!


额外的资源

所有与Basys 3的使用相关的材料都可以在其网站上找到资源中心

要了解在Vivado中创建简单HDL项目的过程,请参见开始使用Vivado进行硬件设计.重要部分的信息GUI,以及在硬件中修改、重建和运行这个演示所需步骤的间接讨论也可以在这里找到。

如需技术支持,请访问FPGADigilent论坛的一部分。

{{标签>项目basys-3}}