安装Vivado, Xilinx SDK, Digilent Board Files

介绍

本指南将显示安装和配置Vivado开发环境的过程,用于开发在Digilent FPGA上运行的项目。除了安装之外,Vivado还将指出Diginent的电路板支持文件,这些文件用于使进程更快地创建新项目。此外,电路板文件使其显着更容易将各种外设(例如DDR内存)添加到项目。Xilinx SDK用于开发针对在Vivado中创建的硬件设计的C / C ++项目开发C / C ++项目,将作为此过程的一部分安装。

重要的是:随着Vivado 2019.2的发布,Xilinx引入了Vitis统一软件平台。在这一点之后和之前的版本之间的安装程序略有不同。看一下安装Vivado, Vitis和Digilent板文件如果您想安装2019.2或更新版本,请使用指南。


先决条件

  • 40+GB.的可用硬盘空间。具体数字因安装的工具和设备支架不同而不同。

指导

1.安装Vivado

注意:虽然本指南的屏幕截图是为Vivado 2017.4而拍摄的,但在较新版本中,安装过程在较新版本中没有改变(在2019年到2019.1,写作时)。

开放Xilinx的下载页面在新选项卡中。打开“Vivado Archive”,导航到你想要安装的版本。找到标题为“Vivado设计套件- HLx版本-(版本号)完整产品安装”的页面。为适当的操作系统选择“自解压Web安装程序”下载。按照提示登录或创建Xilinx网站的帐户。一旦登录,互联网浏览器将下载选定的安装程序。

重要的是:digilant提供的示例项目针对Vivado的特定版本,可能很难或不可能将它们移植到其他版本。在选择版本时要小心。


要启动安装程序,请选择适合操作系统的下拉菜单,并按照说明操作:

窗户

使用Windows资源管理器在下载目录中找到安装程序可执行文件。双击可执行文件来运行它。

Linux.

在终端应用程序中导航到下载安装程序二进制文件的目录,然后输入下面的命令和正确的文件名,以超级用户的身份执行它:

chmod + x  .bin && sudo ./.bin

注意:所有在Linux中使用Vivado的用户都应该是超级用户。

第1节中的其余步骤对于Windows和Linux都是相同的。


在“欢迎”界面,确保正在使用的计算机的操作系统已列在兼容性列表中,然后单击下一个


使用与Xilinx网站相同的凭据进行用户身份验证。选择立即下载并安装选项并单击下一个


阅读和接受所有三种许可协议,然后单击下一个


在“选择要安装的版本”屏幕上,会出现几个选项。Vivado WebPACK Edition是完全免费的,但在使用Kintex-7或Virtex-7部件的Digilent fpga上开发时将无法工作。Vivado Design Edition无需授权即可使用,是Digilent推荐的版本。使用Vivado系统版本需要许可证。本指南不涉及许可证的获取和管理。根据具体情况选择最合适的版本,然后单击下一个


此屏幕提供了自定义安装的更详细选项。基本安装不需要更改这些选项中的大多数,但是可以删除不必要的特性,以减少安装对文件系统的占用—例如,大多数用户将不需要他们的Vivado安装来支持Ultrascale、Kintex或Virtex设备。下面列出了初学者需要注意的重要选项。查看选择,然后单击下一个

  • 设计工具:
    • Vivado设计套房:
      • 安装主要的Vivado开发环境。
    • 软件开发工具包:
      • 为MicroBlaze和Zynq设计安装基于Eclipse的开发环境。
    • DocNav:
      • 安装导航工具以快速查找IP和示例的适当Xilinx文档。
  • 设备:
    • 允许自定义可在安装后设计的Xilinx FPGA部件集。
  • 安装选项:
    • 安装电缆驱动程序:
      • 安装适当的驱动程序,以便对连接的FPGA进行编程。如果之前没有安装Vivado,请务必检查这个!
    • 获取或管理许可密钥:
      • 在安装完成后启动Xilinx许可管理器。大多数用户不需要管理license。

注意:通过在Vivado工具栏中的“帮助”菜单中选择“添加设计工具”或“设备”完成后,可以对安装进行更改。


“选择目的地目录”屏幕显示将如何以及位置放在计算机的文件系统中。将所有这些设置留成默认设置通常很好。点击下一个进而是的如果提示确认安装程序将创建一个新目录。


查看“安装摘要”,然后单击安装


安装过程将花费相当长的时间,可能超过一个小时。找一些其他的工作,直到它完成。


Vivado现在成功安装了!如果在步骤1.7中选中“获取或管理许可证密钥”框,则Vivado许可证管理器将启动。大多数用户不需要使用vivado许可证,因此许可证经理只能关闭


2.在Linux上安装电缆驱动程序

Windows用户可以跳过本节,继续步骤3

Vivado安装程序不安装在Linux系统上识别FPGA所需的USB驱动程序,无论是否选中了该选项。为了安装这些驱动程序,导航到Vivado安装数据/ xicom / cable_drivers / lin64 / install_script / install_drivers /在控制台窗口中的目录。Vivado安装目录通常是/ opt / Xilinx / Vivado / * /directory - " * "表示Vivado版本号(例如,2018.2)。从该目录中运行。/ install_drivers命令作为一个超级用户。一旦这个命令成功完成,所需的驱动程序将被安装。

注意:一些旧版本的Vivado可能要求在使用前从TAR文件中提取install_drivers命令。


为了使用串行终端的USB驱动程序,必须将使用串行终端的每个用户添加到拨号组。串行终端对于调试实现USB-UART控制器的FPGA设计非常有用。可以将用户加入到拨出组sudo adduser $用户拨号命令。注意,这只添加当前处于活动状态的用户。要添加一个非超级用户,而身份验证为root,请使用该命令adduser <用户名> dialout相反,将“”替换为要添加的用户名。


3.安装Digilent单板文件

Digilent提供董事会文件用于每个FPGA开发板。这些文件便于在创建新项目时选择正确的部件,并允许对许多设计中使用的几个复杂组件(包括Zynq Processing System和Memory Interface Generator)进行自动化配置。

板文件将被复制到您的Vivado安装目录版本中。在本节末尾,展示了备用安装方法,熟悉Git的用户可能会发现更方便。


下载最新的Master Branch zip存档Digilent的vivado-boardsgithub存储库并提取它。

重要!在撰写本文时,如果Microblaze设计使用Spartan或基于artix的板,建议使用另一个版本的板文件,它能更好地支持某些板上的DDR内存。此版本的委员会档案可透过以下连结下载:microblaze-mig.zip..可以找到使用这些文件在MicroBlaze设计中设置DDR内存的说明开始使用Vivado和Vitis为Baremetal软件项目


打开从存档中提取的文件夹并导航到其新/ Board_files.文件夹。您将复制所有此文件夹的子文件夹。

请注意安装Vivado版本2014.4或旧时,请使用“旧/ Board_Files”中找到的文件。


打开Vivado已安装的文件夹 -C: / Xilinx / Vivado/ opt / Xilinx / Vivado默认情况下。在这个文件夹下,导航到它的 /数据/电路板/ board_files目录。如果此文件夹不存在,请创建它。

复制vivado-boards中的所有文件夹新/ Board_files.文件夹,然后粘贴他们进入这个文件夹。


附录:通过“Vivado-Loards”GitHub存储库安装电路板文件
如果您熟悉git,并且希望以一种干净的方式导入最新的board文件更改,而不需要手动将文件复制粘贴到每个新安装的版本中,那么您可能会想要使用这种方法。

下载zip存档Digilent的“Vivado-Boards”Github存储库并将其提取到可留下的位置。或者,您可以使用Git和命令提示符将存储库克隆到当前工作目录中使用命令git克隆https://github.com/digilent/vivadooboards.


找到文件“Vivado_init”。在vivado-boards repo的" utility "子目录下。复制粘贴% APPDATA % / Xilinx / Vivado /目录为Windows或$ Home / .Xilinx / Vivado /(在Superuser验证后)在Linux中。此文件是在启动Vivado时将运行的脚本。它将加载Divilent的电路板文件,以便在其中提取的目录中使用Vivado。

注意:您还可以通过启动Vivado找到这个目录的路径,并且在更改目录之前,运行PWD在TCL控制台中的命令。

注意:脚本初始化。应该使用tcl而不是Vivado_init。tcl的Vivado版本2016.4及更早。如果安装了2016.4之前和之后的多个版本的Vivado,两个脚本都应该使用。


在文本编辑器中打开复制的init脚本。改变文本<提取路径>在脚本中找到解压的vivado-boards文件夹的路径。保存关闭该文件。

这个脚本设置了棋盘。repoppaths参数设置为固定路径。无论何时启动任何版本的Vivado,该脚本都将运行,并且在您完成会话后,该版本的Vivado的参数将保持设置。这意味着,通过安装脚本,您将为您正在使用的每个版本的Vivado设置板文件repo,并且即使在将来脚本可能被删除后,更改仍将保留。以后可以使用该命令清除该参数set_param board.repopaths“”,这在会话之间仍然存在。


总之

安装了Vivado、Xilinx SDK和Digilent的板文件后,就可以开始开发FPGA项目了!要开始学习如何使用Vivado,请查看下面的教程: