创建一个Vivado项目

在Vivado的“欢迎”屏幕中,提出了几种选择:

  • 创建项目:打开曾经从头开始创建Vivado项目的向导,这将在此处使用。
  • 开放项目:可用于打开先前从Internet创建或下载或下载的Vivado项目(由XPR文件定义)。
  • 开放硬件管理器:可用于编程一个带有比特流的FPGA开发板,而不打开相关项目。

笔记:可以使用各种其他选项,但这里没有描述。

出于本指南的目的,单击该指南创建项目按钮。


新项目向导的第一页总结了创建项目所涉及的步骤。点击下一个


第一步是设置名称对于项目。生成其文件夹结构时,Vivado将使用此名称。

重要的:请勿在项目名称或位置路径中使用空格。这将导致Vivado的问题。而是使用下划线,短划线或骆驼香烟盒

选择一个难忘的人地点在您的文件系统中放置项目。

检查创建项目子目录框将在所选位置中创建一个新文件夹以存储项目的文件。建议。

点击下一个接着说。


在“选择项目类型”屏幕上,选择RTL项目并检查一下此时不要指定来源盒子。高级用户可能希望在此屏幕上使用其他选项,但它们不会在此处介绍。

点击下一个接着说。


接下来,必须选择零件或电路板以将项目瞄准。该项目只能与所选设备一起使用(尽管稍后可以通过项目的设置更改选择)。

建议在零件中选择电路板,因为板文件为设计中的各种外围设备和组件提供了额外的配置信息。点击木板按钮打开板选项卡。

搜索您的电路板并从列表中选择它。

重要的:如果您的电路板未显示在列表中,则尚未安装DigIlent的电路板文件。审查安装Vivado,Vitis和Digilent Board文件有关安装这些文件的说明。

点击下一个接着说。


新项目向导的最后一个屏幕总结了上一个屏幕中选择的内容。点击结束打开你的项目。