Zybo Z7 Pmod VGA演示


描述

这个简单的VGA演示项目演示了连接到Zybo的Pmod端口的Pmod VGA的用法。其行为如下:

  • 一个弹跳的盒子和黑色、白色和多种颜色的条显示在一个连接的VGA监视器上。
  • Pmod VGA由Zybo通过Pmod端口JC和JD控制。
  • 屏幕分辨率可通过HDL代码配置。

库存


下载及使用说明

首先,发行版(由一组供下载的文件组成)只与特定版本的Xilinx工具兼容,这在发行版的名称中指定(称为版本标记).此外,版本仅与该板的指定版本兼容。例如,Zybo Z7标记为“20/DMA/2020.1”的发布版本仅适用于-20版本的电路板和Vivado 2020.1。

这个演示的最新版本用绿色高亮显示。

注意:在2020.1之前发布的FPGA演示版本使用了不同的git结构,并使用了不同的版本标签命名方案。

董事会变体 版本标记 版本下载 设置说明
Zybo Z7-10 10 / Pmod-VGA / 2020.1 - 1 ZIP下载发布 看到使用最新版本,低于
Zybo Z7-20 20 / Pmod-VGA / 2020.1 - 1 ZIP下载发布 看到使用最新版本,低于
Zybo Z7-10 v2018.2-1 ZIP下载发布 v2018.2-1 Github的自述
Zybo Z7-20 v2018.2-1 ZIP下载发布 v2018.2-1 Github的自述

高级用户注意事项:所有的演示Zybo Z7提供通过Zybo-Z7在Github库。关于这个存储库结构的更多文档可以在这个wiki上找到Digilent FPGA Demo Git库页面。


最新版本的使用说明可以在下拉菜单中找到:

使用最新版本

注意:这个工作流在许多Digilent FPGA演示中都很常见。截图可能与您正在使用的演示不匹配。

重要的:这些步骤仅适用于Xilinx工具版本2020.1及以后的版本。旧版本可能需要其他流,如发布表中所述。

首先,从上面链接的演示版本页面下载并解压'*.xpr.zip'文件。


从一个版本打开一个Vivado项目
发射Vivado

选择对应于操作系统的下拉菜单,如下所示。

窗户

通过开始菜单或安装过程中创建的桌面快捷方式打开Vivado。

Linux

打开一个终端,将目录(cd)更改为Vivado会话日志文件所在的文件夹,然后运行以下命令:

源< install_path > / Vivado / <版本> / settings64.sh Vivado


在Vivado的欢迎界面中,使用开放项目按钮导航并打开包含该版本被提取到的文件夹中的XPR文件。


建立一个Vivado项目

注意,如果你的项目已经有一个生成的比特流,就像在窗口右上角的状态显示的“write_bitstream Complete!”,那么你可以跳过这一部分。

生成一个比特流

为了创建一个可用于对目标板编程的文件,需要运行“编译管道”的每个阶段。

这开始于合成.鉴于XDC文件中包含的约束条件,Synthesis创建逻辑门的描述以及它们之间执行HDL文件所描述的功能所需的连接。要运行Synthesis,请单击其中之一在工具栏或流导航器.然后,Synthesis的输出被传递给Implementation。

实现有几个步骤。总是运行的步骤是选择设计(优化设计以适应目标FPGA),地方的设计(在目标FPGA结构中布局设计),并且路线设计(路由信号通过fabric)。要运行实现,单击其中之一在工具栏或流导航器.然后将输出传递给Bitstream Generator。

比特流发生器生成FPGA编程所需的最终输出文件。要运行比特流生成,请单击其中之一在工具栏或流导航器.没有改变设置,生成器将创建一个'。一些文件。

根据设计的复杂性、使用的电路板和计算机的强度,构建项目的过程可能需要5到60分钟。完成后,将出现一个弹出对话框,提示您从几个选项中选择一个。没有一个与本指南的目的相关,所以单击取消.“write_bitstream complete”状态消息可以在窗口的右上角看到,这表明演示已经准备好部署到你的板上了。


设置Zybo Z7
将microUSB编程电缆插入Zybo Z7的PROG/UART端口。将Pmod的VGA接口连接到JC和JD的Pmod接口。将VGA监视器通过VGA线缆连接到Pmod VGA上。
将比特流编程到FPGA板上

Vivado的硬件管理器可以通过点击打开开放硬件管理器在Vivado窗口左侧的Flow Navigator窗格底部。

对设备进行编程的第一步是将Vivado硬件服务器作为目标连接到它。为了到达开放硬件的目标向导中单击链接在靠近窗口顶部的绿色横幅上。从打开的下拉列表中选择

向导打开后,单击下一个


下一个屏幕询问硬件服务器是本地的还是远程的。如果板子是连接到上位机选择本地,如果是连接到另一台机器选择远程并填写主机名港口字段。

点击下一个继续。


这个屏幕给出了连接到硬件服务器的设备列表。如果只有一个连接,它将是唯一显示的设备。

点击下一个继续。


最后一个屏幕显示了向导中选择的选项的摘要。确认信息无误后,单击完成.该单板现在已连接到硬件服务器。


要使用先前生成的位文件对设备进行编程,可以单击链接绿色的旗帜在窗口顶部或单击按钮流导航器.从打开的下拉菜单中,选择要编程的设备(例如:),并会开启以下窗口:

比特流文件字段应该用先前生成的位文件自动填充。如果没有,请单击单击字段右边末端的按钮,并导航到
<项目目录> / <项目名称> .runs / impl_1/,并选择位文件(示例:).现在点击程序.这将连接到电路板,清除当前配置,并使用新位文件进行编程。


此时,演示程序已经在您的板上运行了。指的是描述功能本文档的部分以获得更多关于它的功能的信息。


功能

1.查看结果

VGA监视器将显示一组彩色、黑色和白色条,以及一个移动框,如下所示。您可能需要调整显示器的设置以正确对齐演示图像。

2.改变分辨率

如果您的VGA监视器不支持1080p,您可能想要更改显示分辨率,或者您想要为特定的应用程序修改演示。

要选择不同的显示分辨率,请从第47行开始的列表中为目标分辨率选择一组合适的Sync Generation常量top.vhd.取消对十个相应常数的注释,FRAME_WIDTH通过V_POL,并注释这些相同常量的默认版本。默认分辨率为1920×1080 @ 60Hz。

下一个选择项目经理在流导航器中。在层次结构选项卡,展开在设计资源下,双击clk_div_inst.将clk_out1请求频率(下面用红色圈出)更改为所选分辨率的同步生成注释块中指定的所需pxl_clk频率。选择好的,然后生成在弹出的Generate Output Products对话框中。要用新硬件重新编程,返回到步骤2。


额外的资源

所有与Zybo Z7使用相关的材料都可以在其上找到资源中心

所有与此列表中其他产品使用相关的材料都可以在他们的资源中心找到,链接如下:

要了解在Vivado中创建一个简单HDL项目的过程,请参见入门Vivado专为硬件设计.关于重要部分的信息GUI,以及在硬件中修改、重新构建和运行该演示所需的间接讨论也可以在这里找到。

如需技术支持,请访问FPGA“勤奋论坛”的部分。