科拉Z7 PMOD VGA演示


描述

这个简单的VGA演示项目展示了连接到Cora Z7的PMOD端口的PMOD VGA的用法。行为如下:

  • 连接的VGA显示器上显示了一个弹跳盒和黑色,白色和多种颜色。
  • PMOD VGA由Cora Z7通过PMOD端口JA和JB控制。
  • 屏幕分辨率可通过HDL代码配置。

存货


下载和用法说明

首先,发布 - 由一组用于下载的文件组成 - 仅与Xilinx工具的特定版本兼容,如发布名称(称为a发行标签)。此外,释放仅与板的指定变体兼容。例如,Zybo Z7的释放标记的“20 / DMA / 2020.1”仅用于电路板和Vivado 2020.1的-20变体。

此演示的最新版本版本以绿色突出显示。

笔记:2020.1之前的FPGA演示发布使用不同的GIT结构并使用不同的释放标签命名方案。

板式变体 发行标签 发布下载 设置说明
科拉Z7-07S. 07S / PMOD-VGA / 2020.1-1 发布ZIP下载 使用最新版本, 以下
科拉Z7-10 10 / PMOD-VGA / 2020.1-1 发布ZIP下载 使用最新版本, 以下
科拉Z7-07S. V2018.2-1 发布ZIP下载 V2018.2-1 GitHub Readme.
科拉Z7-10 V2018.2-1 发布ZIP下载 V2018.2-1 GitHub Readme.
科拉Z7-07S. 2017.4-1 发布ZIP下载 2017.4-1 GitHub Readme.
科拉Z7-10 2017.4-1 发布ZIP下载 2017.4-1 GitHub Readme.

高级用户注意:Cora Z7的所有演示都是通过的科拉Z7GitHub上的存储库。关于此存储库结构的进一步文档可以在此维基的情况下找到Digilent FPGA演示GIT存储库页。


有关使用最新版本的说明,请在此下拉列表中找到:

使用最新版本

笔记:在许多Diulent FPGA演示中,此工作流程是常见的。屏幕截图可能与您正在使用的演示不匹配。

重要的:这些步骤仅用于Xilinx Tools版本2020.1和更新的版本。较旧的版本可能需要其他流,如发布表中所指出的那样。

首先,从上面链接的演示版本页面下载并提取“* .xpr.zip”文件。


从释放中打开Vivado项目
推出Vivado.

选择以下对应的下拉列表,如下所示。

视窗

通过在安装过程中创建的“开始”菜单或桌面快捷方式打开Vivado。

Linux.

打开终端,并将目录(CD)更改为可以放置Vivado会话的日志文件的文件夹,然后运行以下命令:

source  / vivado /  /settings64.sh vivado


在Vivado的欢迎屏幕中,使用开放项目按钮导航到并打开该文件夹中包含的XPR文件已提取到释放中。


建立一个Vivado项目

请注意,如果您的项目已经具有生成的比特流,如窗口右上角的状态所示,读取“write_bitstream完成!”,那么您可以跳过此部分。

生成比特流

为了创建可用于编程目标板的文件,需要运行“编译流水线”的每个阶段。

这始于合成。合成在给定由XDC文件中包括的约束,在执行HDL文件所描述的功能所需的功能所需的逻辑门和连接之间的描述。运行综合单击在工具栏或在里面流动导航器。然后将合成的产出传递给实施。

执行有几个步骤。始终运行的步骤是选择选择(优化设计以适应目标FPGA),放置设计(在目标FPGA面料中布置设计),和路线设计(通过织物路线信号)。运行实现单击在工具栏或在里面流动导航器。然后将此输出传递到比特流发生器。

比特流发电机生成编程FPGA所需的最终输出文件。要运行比特流生成,请单击在工具栏或在里面流动导航器。没有更改设置,生成器将创建“.bit”文件。

根据设计的复杂性,使用的电路板和计算机的强度,建立项目的过程可能需要5到60分钟。完成后,将出现弹出对话框,提示您选择多个选项之一。没有与本指南的目的相关,因此单击取消。可以在窗口的右上角看到“write_bitstream完成”状态消息,指示演示已准备好部署到您的电路板。


设置科拉Z7

通过MicrousB编程电缆将Cora Z7插入计算机。将PMOD VGA插入照片中看到的两个PMOD端口。通过VGA电缆将VGA监视器插入PMOD VGA。


将比特流程到FPGA板上

可以通过点击打开Vivado的硬件管理器开放硬件管理器在Vivado窗口左侧的“流动导航窗格”底部。

编程设备的第一步是将Vivado硬件服务器连接到它作为目标。到达打开硬件目标向导点击这件链接在窗口顶部附近的绿色横幅。从打开的下拉下来,选择

向导打开后,单击下一个


下一个屏幕询问硬件服务器是否是本地的或远程。如果电路板连接到主计算机,请选择本地,如果它连接到另一台机器选择遥控器并填写主机名港口领域。

点击下一个接着说。


此屏幕提供连接到硬件服务器的设备列表。如果只有一个连接,它将是唯一显示的设备。

点击下一个接着说。


最终屏幕显示了向导中选择的选项的摘要。验证信息并单击结束。电路板现在连接到硬件服务器。


要使用前面生成的位文件编程设备,请单击链接在绿色横幅在窗口的顶部或单击按钮在流动导航器在下面。从打开的下拉,选择要程序的设备(例如:)和以下窗口将打开:

比特流文件字段应自动使用前面生成的位文件填充。如果没有,请单击字段右端按钮并导航到
<项目目录> / <项目名称> .runs / isp_1/并选择位文件(示例:)。现在点击程序。这将连接到电路板,清除当前配置,并使用新位文件进行编程。


此时,演示现已在您的电路板上运行。参考描述功能本文档的部分有关它的内容更多信息。


功能

1.查看结果

VGA监视器将显示一组彩色,黑色和白色条,以及移动箱,如下所示。您可能需要调整显示器的设置以正确对齐演示映像。

2.改变分辨率

如果VGA监视器不支持1080p,则可能需要更改显示分辨率,或者您希望修改特定应用程序的演示。

要选择不同的显示分辨率,请从第47行开始的列表中为目标分辨率选择适当的同步生成常量集top.vhd.。取消注释十个相应的常数,Frame_width.通过v_pol.,并评论相同常量的默认版本。默认分辨率为1920×1080 @ 60Hz。

下一个选择专案经理在流导航器中。在里面等级制度源盒的标签,展开top.vhd.在设计来源下双击clk_div_inst.。将CLK_OUT1更改为请求的频率 - 以红色为单位 - 到所选分辨率同步生成注释块中所指定的所需的PXL_CLK频率。选择好的, 然后产生在弹出的“生成输出产品”对话框中。生成比特流后,用新硬件重新编程您的电路板。


额外资源

与使用Cora Z7相关的所有材料都可以在其上找到资源中心

所有与使用列表其他产品的材料可以在其资源中心找到,如下所示:

对于在Vivado创建简单的HDL项目的过程中,请参阅vivado入门仅用于硬件设计。关于重要地区的信息吉伊此处还可以在此处找到对修改,重建和运行该演示所需的步骤的间接讨论。

有关技术支持,请访问FPGA.Digilent论坛的一部分。