将主XDC文件添加到Vivado项目

如果您的项目不包含板的主Xilinx Design Constraint (XDC)文件,下面的下拉菜单将详细说明如何添加它。此文件包含您的板对使用它的设计施加的约束——特定接口连接到特定引脚、时钟频率和FPGA银行电压,举例说明。单击下面的下拉列表了解如何将此文件添加到项目中。

将主XDC文件添加到Vivado项目

下载并提取digilent-xdc-master.zip.这个文件包含了Digilent的所有最新的XDC模板文件,这些文件可以在Github的digilent-xdc存储库。


返回Vivado,单击添加资源按钮项目经理部分的流导航器窗格。这将启动一个对话框,您可以使用该对话框向项目添加各种类型的源文件(或创建新的源文件)。


在第一个屏幕上,选择添加或创建约束.点击下一个继续。


在下一个屏幕中,确保指定的约束集(主XDC将被添加到的约束集)被设置为constrs_1,它是活跃的集。单击添加文件按钮。


在弹出的对话框中,导航到digilent-xdc-master.zip文件被提取到。突出显示您的板的XDC文件,然后单击好吧继续。


回到添加资源对话框中,确保所选的约束文件出现在表中。同时,确保复制约束文件到项目检查框。如果不选中此框,则该文件将由项目链接,在项目中进行的任何修改都将影响下载的版本。由于您可能需要在其他项目中再次使用此文件,因此建议复制约束文件,以便您总是可以从一个新的副本工作。

点击完成将约束文件添加到项目中。


添加后,XDC文件将出现在来源选项卡(与董事会选项卡)。双击它以打开文件。