创建一个Vivado项目

在Vivado的欢迎界面中,有几个选项:

  • 创建项目:打开一个用于从头开始创建Vivado项目的向导,这里将使用这个向导。
  • 开放项目:可以用来打开以前创建或从互联网上下载的Vivado项目(由XPR文件定义)。
  • 开放硬件管理器:可以用一个比特流来编程FPGA开发板,而不需要打开相关的项目。

注意:还有其他各种选项,但这里不进行描述。

对于本指南的目的,请单击创建项目按钮。


New Project向导的第一页总结了创建项目所涉及的步骤。点击下一个


第一步是设置的名字为这个项目。Vivado在生成其文件夹结构时将使用此名称。

重要的是:在项目名称或位置路径中不要使用空格。这将导致Vivado出现问题。而是使用下划线、破折号或CamelCase

选择一个令人难忘的位置在文件系统中放置项目。

检查创建项目目录框将在选定的位置创建一个新文件夹来存储项目的文件。这是推荐的。

点击下一个继续。


在选择项目类型屏幕上,选择RTL项目并检查此时不指定源文件盒子。高级用户可能希望使用此屏幕上的其他选项,但这里将不介绍这些选项。

点击下一个继续。


接下来,一个部分或一个董事会必须为项目选择目标。该项目将只与所选的设备一起使用(尽管该选择稍后可以通过项目的设置更改)。

推荐选择单板而不是部件,因为单板文件为设计中的各种外围设备和部件提供额外的配置信息。单击董事会按钮以打开板选项卡。

搜索你的板并从列表中选择它。

重要的是:如果您的董事会没有出现在名单中,Digilent的董事会文件没有被安装。审查安装Vivado、Vitis和Digilent单板文件有关这些文件的安装说明。

点击下一个继续。


New Project向导的最后一个屏幕总结了前面屏幕中所选择的内容。点击完成以打开项目。